Monday, April 4, 2011

XMOS High Level Language XC for Verilog Designers

A high-level language (XC) developed by XMOS is to describe the hardware design process to replace low-level design in Verilog, reducing development times while maintaining product flexibility and keeping system costs down.

XC for Verilog Designers whitepaper compares Verilog and XC implementations for several simple applications to demonstrate how Verilog structures are implemented in XC, and to highlight the basic XC structures.


No comments:

Followers

Blog Archive

About Me

My photo
HD Multimedia Technology player