Sunday, July 18, 2010

X-HDL - Verilog VHDL bi-directional translator

X-HDL is an excellent Verilog <=> VHDL bi-directional translator developed by X-Tek, although it seems X-Tek had difficult time before 2005. X-HDL performs translation of even the most complex RTL/gate-level code efficiently and requiring few, if any, "hand tweaks" of the translated code. X-HDL also contains specialized algorithms which are very effective in translating behavioral-level code to functionally equivalent target-language code.

Key Features

  • Provides both GUI and command-line modes
  • Performs automatic hierarchical translations as well as file-at-time translations.
  • Translates structural, RTL and behavioral code
  • Preserves comments with placement nearly identical to the source
  • Consistent code formatting with user customizations
    • VHDL'87 or VHDL'93 syntax generation
    • Verilog-2001 syntax generation
    • Code alignment controls
    • Indentation controls
    • Line wrap controls
  • Supports component libraries
  • Smart overloaded subprogram handling
  • Intelligently determines if translated Verilog tasks/functions are local or global within the VHDL.
  • VHDL conversion function filtering
  • Conversion definitions to support user-defined translation
  • Support for pre- and post-processing scripts to enable user-specific translation needs.
The current version is X-HDL 4.1.4.For more detail information, please see


Other this kind of tools include v2v.

No comments:

Followers

Blog Archive

About Me

My photo
HD Multimedia Technology player